新闻资讯 > 加工定制

奋起直追的国内半导体设备 明天更加美好!

更新:2018-11-12

经过发展,我国企在半导体应用、封装测试领域已发展到,拥有了完整的终端产链,但在产链前端环节非常薄弱。关于材料以及封装 ,小编在前文都有提及,感兴趣的朋友可以去看一下。这一篇文章,我们将着重来说半导体设备。

  半导体设备是半导体产为重要的一环,是生产部门不可或缺的生产资料。从半导体产链中可以看出,无论是上游设计制造,还是下游封装测试,几乎每一个产环节都需要相关设备的投入。半导体设备主要应用于IC制造(前端设备)、IC封测(后道设备)两大领域。

  其中,IC制造设备又包括晶圆制造设备和晶圆加工设备。其中晶圆制造设备主要由硅片厂(如SUMCO、金瑞泓、上海新昇)进行采购,终产品为硅片;晶圆加工设备主要由代工厂(Foundry,如台积电、中芯、上海长虹)或IDM企(如Intel、Samsung)进行采购,终产品为芯片;IC封测设备通常由门的封测厂(如日月光、Amkor、长电科技)进行采购,包括拣选、测试、贴片、键合等多个环节。

  据Gartner统计,规模以上晶圆制造设备商共计58家,其中日本的企多,达到 21 家,占36%,其次是欧洲13家、北美10家、韩国7家,而中国大陆仅4家,分别是上海盛美、上海中微、Mattson(亦庄国投收购)和北方华创,占比不到7%。


  从半导体设备需求端来看,近几年销售额前三大地区分别是韩国、中国台湾和大陆。从半导体设备销售额情况看,从2014 年开始,北美半导体设备投资逐年减少,日本基本维持稳定,整个半导体制造的产能转移到了韩国、中国台湾和大陆三地。另外,从这三个地区市场份额占比来看,中国大陆买家买下了 15%的半导体设备,市场份额提升了近一倍,且一直处于稳步上升的状态。

  从供给侧来看,半导体设备是一个高度垄断的市场。根据各细分设备市场占有率统计数据,在光刻机、PVD、刻蚀机、氧化/扩散设备上,前三家设备商的总市占率都达 90%以上,而且行龙头都能占据一半左右的市场,所以,要想在半导体装备市场中分一杯羹,就必须在细分领域能够做到前三。


  美国处于地位


  来自SEMI的新数据显示,北美半导体设备制造商3月出货金额为24.2亿美元,比2月微增0.4%,年增16.7%,创17年来新高。这主要得益于近两年内存及晶圆代工投资持续带动。


  美国半导体设备的发展起源于二战后期,由于军用计算机的带动,造就了初的半导体产,在之后的二三十年中,美国半导体产稳步发展,奠定了其半导体设备行的坚实基础。


  来自北美的设备商主要包括:应用材料,泰瑞达、Axcelis Technologies,KLA-Tencor,Lam Research,Kulicke & Soffa、Nanometrics,Rave,Rudolph Technologies,Ultratech,Ushio等。


  虽然在所有半导体设备厂商和市场中,美国跟随在日本和欧洲之后,处于第三的位置。但就晶圆处理设备而言,其实力非常强劲,在晶圆处理设备供应商前5名中,美国就占据了3席,分别是排名的应用材料(AMAT),市占率19%左右;第二的Lam Research,市占率13%左右;以及排名第5的KLA-Tencor,市占率6%左右。


  具体而言,晶圆处理设备中,几个主要工序的设备也都基本处于行龙头的高度垄断之中。其中,在PVD领域,应用材料公司占据了近 85%的市场份额,CVD占30%;刻蚀设备方面,Lam Research多,市占率达53%,而KLA-Tencor在半导体光学检测领域,市占居冠。在各个领域中,前三大巨头的市场份额相加均超过70%,整个市场呈现强者恒强、高度垄断的状态。


  应用材料可以说是大的半导体设备公司了,产品横跨CVD、 PVD、刻蚀、CMP、RTP等除光刻机外的几乎所有半导体设备。应用材料2017财年营收为145.3亿美元,其中,半导体设备收入95.2亿美元。


  半导体设备行技术壁垒非常高,随着制程越来越先进,对半导体设备的性能和稳定性提出了越来越高的要求,需要投入大的研发资金。应用材料公司一直保持着在研发上的高投入,其30%的员工为研发人员,拥有近12000 项利,平均每天申请4个以上的新利。正是这种持续的高研发投入,促成了应用材料的内部创新,构成了较高的技术壁垒,使其自1992年以来一直保持着大半导体设备公司的地位。


  中国市场现状


  据Semi预测,2018年中国的设备销售增长率将创新高,为49.3%,达到113亿美元,中国大陆将紧随韩国,成为第二大半导体设备需求市场。

  2017~2020年,中国大陆将有26座新晶圆厂投产,成为新建晶圆厂积极的地区,整个投资计划占新建晶圆厂高达 42%,成为新建投资大的地区。目前,中国12英寸晶圆厂共有22座,其中在建11座,规划中1座;8英寸晶圆厂18座,其中在建5座。


  在这样大兴土木的行背景下,对半导体设备的需求和投资必然巨大。粗略计算,已经公布的半导体产线投资金额将超过1000亿美元。按照行规律,在总投资中80%用于设备投资,从而可计算出设备投资额为800 亿美元。


  在晶圆厂设备构成中,光刻机占比大,占39%,其次是沉积设备,占比为 24%,刻蚀设备第三,占比为14%,材料制备占比8%,表面处理设备和安装设备分别占比2%,其他设备占比11%。


  据此,可以计算出,2017-2019年国内集成电路光刻设备市场空间为312亿美元,沉积设备市场空间为192亿美元,刻蚀设备市场空间为112亿美元,材料制备设备市场空间为64亿美元。


  我国与先进水平相比仍然存在较大差距


  未来几年,我国对半导体设备的需求巨大。中国半导体设备企虽然在近年内呈现出了高增长态势,但是毕竟发展时间有限,与美、日等国家比起来还是存在明显差距。


  2008 年之前我国半导体设备基本全靠进口,因此国家设立了国家科技重大项——极大规模集成电路制造装备及成套工艺科技项目(简称 02项)研发国产化设备。但是,由于设备制造对技术和资金需求要求比较高,只有北方华创、中微半导体、上海微电子等少数重点企能够承担 02项研发工作,整个行集中度相对较高。


  虽然在02项的支持下,我国半导体设备实现了从无到有,但相比国内庞大的市场规模而言,自给率不足15%。


  即使在发展水平相对较高的 IC 封装测试领域,我国与先进水平相比仍然存在较大差距。尤其是单晶炉、氧化炉、 CVD 设备、磁控溅射镀膜设备、 CMP 设备、光刻机、涂布/显影设备、 ICP 等离子体刻蚀系统、探针台等设备市场几乎被国外企所占据。


  我国本土半导体设备企不算少,但总体不强,销售额占比在国内市场还不足15%,在市场几乎为 0。究其原因,还是技术上的落后。


  目前,国产半导体设备处于局部有所突破,但整体较为落后的状态。尤其与半导体设备巨头应用材料、 ASML、LAM Research、KLA-Tencor等相比,国产半导体设备公司的实力仍然偏弱,绝大部分企无法达到上已经实现产的10nm工艺,部分企突破到28nm或14nm工艺,但在使用的稳定性上与巨头差距较大,较难大批进入产线,也较难进入代工巨头的生产线。


  虽然我国整体上与水平存在一定的差距,但也不乏表现相对突出的设备企,如设备制造龙头北方华创、在刻蚀机领域做出突破的中微半导体、封测领域龙头长川科技、从事高纯工艺系统的至纯科技以及国内单晶生长设备稀缺标的晶盛机电等。


  北方华创


  作为国内上市设备公司龙头,涉及半导体设备、真空设备、锂电设备和电子元器件等四大类产品,半导体设备覆盖等离子刻蚀设备、PVD、CVD、氧化炉等生产线核心设备。北方华创的28nm PVD设备已中芯生产线投入使用,在14nm制程的刻蚀、退火和CVD的设备也已进入工艺验证阶段,深度受益建厂潮。

  中微半导体


  于2004年由尹志尧博士代领的海归人才创办,尹志尧博士曾在美国应用材料公司任职13年,注于等离子体刻蚀设备的研发。中微是国内技术的高端芯片设备企,也是国家大基金成立后投资的企。其推出的芯片介刻蚀设备已打入企台积电的7nm、10nm产线,并占据了中芯50%以上的新增采购额。2015年,美国商务部更因中微作提供的“有相当数和同等”的刻蚀机产品,取消对华出口刻蚀设备的限制。公司未来将有望达成跳跃式增长,并有朝一日成长为国内半导体产发展的一把利剑。

  长川科技


  始终注于半导体检测设备领域,主要产品包括检测机和分选机,主要客户包括华天科技、长电科技、士兰微等封测与制造企,并受到国家大基金入股,封测设备龙头地位得到认可。公司已经掌握了高精度电压电流源控制测技术、大电流电源高能脉冲控制与测试技术等核心技术,拥有着能与欧美抗衡的技术水平。公司2017年营收1.80亿,同比增长44.86%,归母净利润5,096万,同比增长23.05%,且具有资本平台价值,值得重点关注。


  盛美半导体


  初成立于美国硅谷的盛美半导体,在2007年引进国内落地张江,主要生产清洗设备、镀铜设备等产品,其掌握的超声波清洗技术克服了芯片制程发展所带来的工艺困难,产品进入了中芯、韩国海力士等知名半导体制造厂商,并获得了“02项”的扶持。盛美于当地时间2017年11月3日在纳斯达克IPO上市,成为国产设备进军海外市场的一员。

  成立于2010年,是由海外技术家于2010年4月组建的高新技术企,致力于研究和生产的极大规模集成电路行用薄膜设备,公司已形成12英寸PECVD、ALD、3D NAND三大系列产品,并且先后两次承担国家科技重大项,获得国家大基金大力支持。2013年,公司12英寸PECVD通过中芯产品线测试,2017年10月,拓荆设备在北京中芯产突破百万MOVE。公司产化生产基地基地大产能可达350套设备,年产值约50亿元。


  知名厂商


  一、应用材料


  按维基百科,应用材料公司是大的半导体设备和服务供应商。应用材料公司创建于1967年,公司总部位于美国加利福尼亚州圣克拉拉。应用材料公司1984年进入中国,目前在上海,北京,天津,苏州,无锡等地有办事处或仓库,在西安设有太阳能开发中心。


  应用材料公司的主要产品为芯片制造相关类产品,例如原子层沉积,物理气相沉积,化学气相沉积,电镀,侵蚀,离子注入,快速热处理,化学机械抛光,测学和硅片检测等。应用材料公司每年的研究经费达到约10亿美元。


  二、ASML


  阿斯麦公司(台译:艾司摩尔控股公司)ASML Holding NV(NASDAQ:ASML、Euronext:ASML)创立于1984年,前称ASM Lithography Holding N.V.,于2001年改为现用名,是一家半导体设备设计、制造及销售公司。


  公司主要从事半导体设备的设计、制造及销售,ASML公司主要精于晶片制造微缩影设备之设计制造与整合,积体电路生产流程中,其关键的制程技术则是微缩影(lithography)技术将电路图影像投射在晶片上之曝光。务范围遍及,生产与研发单位则分别位于美国康乃狄克州、加州,台湾以及荷兰。


  阿斯麦公司在14个国家和地区有50个子公司和生产据点,主要产品是用来生产大规模集成电路的核心设备光刻机,在同类产品中有90%的市占率。


  三、Tokyo Electron


  东京电子 ( Tokyo Electron ,8035.JP)成立于1963年,为第三大半导体设备生产商,提供给半导体与平面显示器产。


  半导体生产设备,包括涂布机、电浆蚀刻系统、热加工系统、单晶片沉积系统、清洗系统,用于晶圆生产流程,还提供晶圆探针系统。平板显示器生产设备,包括平面显示镀膜机、平面电浆蚀刻,及电浆体化学气相沉积系统用于薄膜矽太阳能电池。


  四、Lam Research


  Lam Research Corporation成立于1980年,总部位于美国加州,是一家向半导体产提供晶圆制造设备和服务的供应商。


  公司主要设计、制造、行销、维修及服务使用于积体电路制造的半导体处理设备,此外,还提供单晶圆清洁技术的多样组合。


  五、KLA-Tencor


  科磊半导体(或:科天半导体、美商科磊股份有限公司)KLA-Tencor Corporation(NASDAQ:KLAC)创立于1975年,总部位于美国加州米尔皮塔斯,全职雇员5,880人,是前十大IC设备生产厂商,拥有晶圆检测与光罩检测系统。


  KLA-Tencor Corporation是一家从事半导体及相关纳米电子产的设计、制造及行销制程控制和良率管理解决方案商,其产品包括晶片制造、晶圆制造、光罩制造、互补式金属氧化物半导体(CMOS)和图像感应器制造、太阳能制造、LED制造,资料储存媒体/读写头制造、微电子机械系统制造及通用/实验室应用等。


  此外,科磊半导体公司还提供翻新的KLA-Tencor工具,连同其KT认证计画予客户制造更大的设计规则装置及产品支援服务。公司产品应用于许多其他行,包括LED,资料储存和太阳能等产,以及一般材料的研究。


  六、DNS(Dainippon Screen,迪恩仕)


  SCREEN 集团职研究开发各项半导体设备、液晶生产设备及级印刷设备,其集团公司包含全共有数十个服务据点,足迹遍及台湾、日本、美国、欧洲、中国大陆、韩国、新加坡等地。


  迪恩仕总部位于日本。从印前、印刷及相关设备到电子产,迪恩士已在各个领域扩大了其务范围。 在“发展思路”的公司的原则指导下,以核心图像处理技术为杠杆,不断努力开创着新的务和产品。


  迪恩仕现在正在发展和生产印刷领域及的高科技领域的印刷技术数字化设备,如电子领域的半导体制造设备,FPDs (平板显示器)和印刷电路板。


  迪恩仕科技提供各领域之半导体晶圆设备,包含洗净、蚀刻、显影/涂布等制程用途,其中洗净设备于半导体界具有极高之市占率,同时随着半导体制程技术进步不断推陈出新设备产品。


  七、Advantest


  ADVANTEST公司1954年成立于日本东京,主要从事大规模集成电路自动测试设备及电子测仪器的研发、制造、销售和服务。


  半个多世纪以来,公司凭借其秀的经营理念和的技术,已成为大的集成电力自动测试设备供应商,并在美国、欧洲、亚洲成立了多个子公司,就近向半导体行提供完善的整体解决方案,及的售后服务。


  ADVANTEST公司的产品主要分为集成电路自动测试设备和电子测仪器两大部分。集成电路自动测试设备的产品包裹SoC测试系统、Memory测试系统、混合信号测试系统、LCD Driver测试系统、动态机械手等; 电子测仪器产品则包括频谱分析仪、网络分析仪等。近二十年来,作为半导体测试设备行的领军企,ADVANTEST公司的产品销售额和市场占有率在同行中的排名一直数一数二,并在近几年ATE测试设备的市场份额新排名中依然荣居榜首。


  八、Teradyne


  美商泰瑞达Teradyne, Inc.(NYSE:TER)创立于1960年,总部位于美国马萨诸塞州North Reading,全职雇员3,900人,是一家生产电子与通讯产品所需的自动化测试器材与相关软件的自动测试设备公司。


  美商泰瑞达(Teradyne)是一家自动测试机台的制造商(Automatic Test Equipment,ATE),产品包括半导体测试系统、电路板与电话线与网路所需的软件,2005年,泰瑞达公司在系统整合芯片的元件测试市场中,市占率高。


  国内外知名企如Motorola, Philips Semiconductor, Texas Instrument, Cisco, 3Com,中芯,ChipPac, 华为,贝岭等皆为公司客户。


九、Hitachi High-Technologies


  日立先端科技(HHT,8036.JP)为半导体设备大厂。主要产品包括半导体设备、电子显微镜、液晶面板相关设备,FPD设备包括包括Array、Cell、Module、彩色滤光片之制程设备,包含玻璃基板表面检查设备、曝光机、湿制程设备..等及医疗分析设备。


  公司还提供钢制品、非铁金属产品、综合性树脂产品、光通讯材料、石油化学产品等工材料。


  十、尼康


  Nikon (7731.JP)成立于1917年,是总部设在日本东京,主要分四个事领域,分别精密设备公司、映像公司、仪器公司及其他(包括CMP装置事、测机事、望远镜事等)。


  精密设备事部是提供积体电路曝光机和扫描仪,用于在大规模积体电路制造;影像产品事部提供的数位相机、胶卷相机及零件,包括可互换镜头、闪光灯、胶片扫描仪等;仪器事部提供显微镜、测仪器、半导体检测设备。 其他还有提供运动光学产品,如望远镜、单筒/双筒望远镜、雷射测距仪等。